jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
175 stars 38 forks source link

Issue#1156: Changed prefix/suffix exceptions to allow for regular expressions. #1159

Closed jeremiah-c-leary closed 3 months ago

jeremiah-c-leary commented 3 months ago

Resolves #1156

LarsAsplund commented 3 months ago

Thanks @jeremiah-c-leary, this works perfectly!