jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
175 stars 38 forks source link

Rule concerning label presence after end keyword on component declaration #1178

Closed maltaisn closed 3 weeks ago

maltaisn commented 3 weeks ago

There doesn't appear to be a rule to add/remove the label after the end keyword on a component declaration, similar to process_018, package_014, architecture_024, etc.

Violation

end component;

Fix

end component comp;
jeremiah-c-leary commented 3 weeks ago

Evening @maltaisn ,

There doesn't appear to be a rule to add/remove the label after the end keyword on a component declaration, similar to process_018, package_014, architecture_024, etc.

You are correct. Not sure how I missed that one. I will add a rule for it.

--Jeremy

jeremiah-c-leary commented 3 weeks ago

Morning @maltaisn ,

I pushed an update for this to the issue-1178 branch. When you get a chance could you check it out on your end and let me know if it is working for you?

Thanks,

--Jeremy

maltaisn commented 3 weeks ago

Thanks it works.

jeremiah-c-leary commented 3 weeks ago

Awesome, I will merge this to master.

--Jeremy