jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
185 stars 38 forks source link

Issue#973: Correcting typo in code example on Configuring Rule Groups… #974

Closed JHertz5 closed 1 year ago

JHertz5 commented 1 year ago

… page.

Description The code example on docs\configuring_rule_groups.rst has "Tue" where it should say "True". Sorry if this is annoying! 😅

Screenshots See issue #973

Additional context None

Resolves #973

codecov[bot] commented 1 year ago

Codecov Report

Patch and project coverage have no change.

Comparison is base (00518a4) 95.89% compared to head (36752bb) 95.89%.

Additional details and impacted files ```diff @@ Coverage Diff @@ ## master #974 +/- ## ======================================= Coverage 95.89% 95.89% ======================================= Files 1497 1497 Lines 28146 28146 ======================================= Hits 26990 26990 Misses 1156 1156 ```

:umbrella: View full report in Codecov by Sentry.
:loudspeaker: Have feedback on the report? Share it here.

jeremiah-c-leary commented 1 year ago

Morning @JHertz5 ,

Thanks for the update.

--Jeremy