jeremiah-c-leary / vhdl-style-guide

Style guide enforcement for VHDL
GNU General Public License v3.0
185 stars 38 forks source link

Wrong indentation #997

Closed t-aras closed 1 year ago

t-aras commented 1 year ago

Environment State installed version of this project and your OS information.

Describe the bug A clear and concise description of what the bug is.

To Reproduce Steps to reproduce the behavior:

  1. Go to '...'
  2. Click on '....'
  3. Scroll down to '....'
  4. See error

Expected behavior A clear and concise description of what you expected to happen.

Screenshots If applicable, add screenshots to help explain your problem.

Additional context Add any other context about the problem here.

t-aras commented 1 year ago

I am very sorry, I have opened this issue by mistake.