jgm / skylighting

A Haskell syntax highlighting library with tokenizers derived from KDE syntax highlighting descriptions
189 stars 61 forks source link

Add support for SystemVerilog #140

Closed tarikgraba closed 2 years ago

tarikgraba commented 2 years ago

Hello,

KSyntaxHighlighting supports systemverilog (an evolution of verilog) as a separate syntax.

https://invent.kde.org/frameworks/syntax-highlighting/-/blob/master/data/syntax/systemverilog.xml

Would it be possible to add systemverilog support to Skylighting so that it will be available in pandoc?

Also, as verilog has been merged with systemverilog, some common highlighters (pygments, ruby rouge) consider all systemverilog keyword even for legacy verilog, maybe this should also be done in Skylighting (I should also open an issue in KSyntaxHighlighting for this specific point, as some would prefer to have separate highlighters).

tarikgraba commented 2 years ago

Thanks!