jts / nanopolish

Signal-level algorithms for MinION data
MIT License
567 stars 159 forks source link

Squiggle Read assertion with albacore 1.0.3 #137

Closed zephyris closed 7 years ago

zephyris commented 7 years ago

Nanopolish variants throws an assert when using locally called 2D data using albacore 1.0.3: nanopolish: src/nanopolish_squiggle_read.cpp:283: void SquiggleRead::_load_R9(uint32_t, const string&, const std::vector&, const std::vector&, uint32_t): Assertion `fields.size() == 4' failed. Is this a known issue with locally called files? Or have I missed a required step (e.g. somehow adding events) for using locally called files?

jts commented 7 years ago

Great. I've merged that branch into master.

zephyris commented 7 years ago

@jts Just noticed my previous comment didn't send: Everything seems to be running smoothly now, thanks for all your help.