kamiyaowl / chisel-practice

Brainfxxk Processor written Scala(Chisel)
5 stars 0 forks source link

attempted to instantiate a Module without wrapping it in Module(). #4

Closed kamiyaowl closed 5 years ago

kamiyaowl commented 5 years ago

[info] Running bf.DebugAccessPort [info] [0.002] Elaborating design... [error] (run-main-9) chisel3.internal.ChiselException: Error: attempted to instantiate a Module without wrapping it in Module(). [error] chisel3.internal.ChiselException: Error: attempted to instantiate a Module without wrapping it in Module(). [error] at chisel3.internal.throwException$.apply(Error.scala:13) [error] at chisel3.core.BaseModule.(Module.scala:86) [error] at chisel3.core.UserModule.(UserModule.scala:18) [error] at chisel3.core.ImplicitModule.(UserModule.scala:102) [error] at chisel3.core.LegacyModule.(UserModule.scala:127) [error] at bf.UartTxRx.(UartTxRx.scala:8) [error] at bf.DebugAccessPort.(DebugAccessPort.scala:38) [error] at bf.DebugAccessPort$.$anonfun$new$1(DebugAccessPort.scala:101) [error] at chisel3.core.Module$.do_apply(Module.scala:47) [error] at chisel3.Driver$.$anonfun$elaborate$1(Driver.scala:92) [error] at chisel3.internal.Builder$.$anonfun$build$1(Builder.scala:244) [error] at scala.util.DynamicVariable.withValue(DynamicVariable.scala:62) [error] at chisel3.internal.Builder$.build(Builder.scala:242) [error] at chisel3.Driver$.elaborate(Driver.scala:92) [error] at chisel3.Driver$.execute(Driver.scala:139) [error] at chisel3.Driver$.execute(Driver.scala:200) [error] at bf.DebugAccessPort$.delayedEndpoint$bf$DebugAccessPort$1(DebugAccessPort.scala:101) [error] at bf.DebugAccessPort$delayedInit$body.apply(DebugAccessPort.scala:100) [error] at scala.Function0.apply$mcV$sp(Function0.scala:39) [error] at scala.Function0.apply$mcV$sp$(Function0.scala:39) [error] at scala.runtime.AbstractFunction0.apply$mcV$sp(AbstractFunction0.scala:17) [error] at scala.App.$anonfun$main$1$adapted(App.scala:80) [error] at scala.collection.immutable.List.foreach(List.scala:392) [error] at scala.App.main(App.scala:80) [error] at scala.App.main$(App.scala:78) [error] at bf.DebugAccessPort$.main(DebugAccessPort.scala:100) [error] at bf.DebugAccessPort.main(DebugAccessPort.scala) [error] at sun.reflect.NativeMethodAccessorImpl.invoke0(Native Method) [error] at sun.reflect.NativeMethodAccessorImpl.invoke(NativeMethodAccessorImpl.java:62) [error] at sun.reflect.DelegatingMethodAccessorImpl.invoke(DelegatingMethodAccessorImpl.java:43) [error] at java.lang.reflect.Method.invoke(Method.java:498) [error] Nonzero exit code: 1 [error] (Compile / run) Nonzero exit code: 1 [error] Total time: 9 s, completed 2019/03/22 1:44:29