kevinpt / hdlparse

Simple parser for extracting VHDL documentation
http://kevinpt.github.io/hdlparse/
MIT License
69 stars 54 forks source link

fail to match named "end component" syntax #4

Closed bergnoli closed 6 years ago

bergnoli commented 6 years ago

hdlparse fails to match syntax like:

 component foo is
(...)
 end component foo;

patch in vhdl_parser.py line 82

   (r'end\s+component\s*;', 'end_component', '#pop'),

substitute line with

   (r'end\s+component\s*\S*;', 'end_component', '#pop'),
kevinpt commented 6 years ago

Fixed