kevinpt / hdlparse

Simple parser for extracting VHDL documentation
http://kevinpt.github.io/hdlparse/
MIT License
70 stars 55 forks source link

hdl parse not working for parsing an entity #6

Open obruendl opened 6 years ago

obruendl commented 6 years ago

I tried hdlparse for parsing a small entity. The entity is known to be correct (used in many projects and working fine for synthesis and simulation). However, hdlparse just returns and empty list. Let me know how I can share the example code.

I checked the hdlparse code and obviously there is no handling for the action "entity" in the function "parse_vhdl()". Is this even implemented?

rtpavlovsk21 commented 3 years ago

Documentation says that it will only run on components. https://kevinpt.github.io/hdlparse/