kevinpt / hdlparse

Simple parser for extracting VHDL documentation
http://kevinpt.github.io/hdlparse/
MIT License
69 stars 54 forks source link

verilog parser - parsing when port name has "input_" characters #9

Open KyleJeong opened 6 years ago

KyleJeong commented 6 years ago

This was the case I met. input wire [29:0] input_data;

In that case, parser though that the port name is "_data".