kevinpt / opbasm

Open PicoBlaze Assembler
http://kevinpt.github.io/opbasm/
MIT License
60 stars 13 forks source link

when using -o dir option entity gets dir/entity_name prefix #14

Closed svenn71 closed 7 years ago

svenn71 commented 7 years ago

If I want to put the processed files into an output directory called 'output' with -o output, the entity in the ROM_form.vhd will be named output/rom_form_name and analyze will fail.

kevinpt commented 7 years ago

This is fixed in v1.3.3 available in the repo.