kevinpt / opbasm

Open PicoBlaze Assembler
http://kevinpt.github.io/opbasm/
MIT License
60 stars 13 forks source link

-o flags produces an entity name with path in the rom code #25

Open bergnoli opened 6 years ago

bergnoli commented 6 years ago

if -o ( --outdir ) flag is used, the entity name of the generated vhdl rom code has the entity name with the used path in the command line flag :: opbasm -c -x --m4 -6 -i hello.psm --outdir=/path/to/vhdl/sources/ -n rom -t template.vhdl

produces an output such this::

entity /path/to/vhdl/sources/rom is
  generic(             C_FAMILY : string := "S6"; 
              C_RAM_SIZE_KWORDS : integer := 1;
           C_JTAG_LOADER_ENABLE : integer := 0);
  Port (      address : in std_logic_vector(11 downto 0);
          instruction : out std_logic_vector(17 downto 0);
               enable : in std_logic;
                  rdl : out std_logic;                    
                  clk : in std_logic);
  end /path/to/vhdl/sources/rom;