kevinpt / symbolator

HDL symbol generator
https://kevinpt.github.io/symbolator
MIT License
179 stars 49 forks source link

Add nix flake #20

Open zebreus opened 1 year ago

zebreus commented 1 year ago

This pull request will add support for reproducibly building and running symbolator using the nix package manger. This way you can run symbolator without the need to install any dependencies like PyGObject.

nix run github:zebreus/symbolator
Paebbels commented 1 year ago

See https://github.com/hdl/symbolator as a fork.

zebreus commented 1 year ago

Thanks for the info. I also created the same PR on the fork as hdl/symbolator#10