kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

=> (others => '0') not correctly alligned #2

Closed kv-be closed 2 years ago

kv-be commented 2 years ago

type t_vvc_cmd_record is record -- VVC dedicated fields -- Insert all data types needed to transport data to the BFM here. -- This includes data field, address field, constraints (e.g. timeout), etc. -- Example: -- data : std_logic_vector(C_VVC_CMD_DATA_MAX_LENGTH-1 downto 0); -- max_receptions : integer; -- timeout : time; -- Common VVC fields data_pattern : t_vip_pxl_stream_data_pattern; pixel : std_logic_vector; pixel_val : std_logic; line_val : std_logic; frame_val : std_logic; operation : t_operation; proc_call : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); msg : string(1 to C_VVC_CMD_STRING_MAX_LENGTH); data_routing : t_data_routing; cmd_idx : natural; command_type : t_immediate_or_queued; msg_id : t_msg_id; gen_integer_array : t_integer_array(0 to 1); -- Increase array length if needed gen_boolean : boolean; -- Generic boolean timeout : time; alert_level : t_alert_level; delay : time; quietness : t_quietness; parent_msg_id_panel : t_msg_id_panel; end record;

constant C_VVC_CMD_DEFAULT : t_vvc_cmd_record := ( -- Set the fields you added to the t_vvc_cmd_record above to their default value here -- Example: -- data => (others => '0'), -- max_receptions => 1, -- timeout => 0 ns, -- Common VVC fields data_pattern => NONE, pixel => (others => '0'), pixel_val => '0', line_val => '0', frame_val => '0', operation => NO_OPERATION, proc_call => (others => NUL), msg => (others => NUL), data_routing => NA, cmd_idx => 0, command_type => NO_COMMAND_TYPE, msg_id => NO_ID, gen_integer_array => (others => - 1), gen_boolean => false, timeout => 0 ns, alert_level => FAILURE, delay => 0 ns, quietness => NON_QUIET, parent_msg_id_panel => C_UNUSED_MSG_ID_PANEL );