kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

instantiation of a generic package in one line is not handled correctly #22

Closed kv-be closed 5 months ago

kv-be commented 5 months ago

package tdi_pkg is new work.tdi_pkg generic map(G_SENSOR => G_SENSOR);

gives a weird effect

package tdi_pkg is new work.tdi_pkg generic map( G_SENSOR => G_SENSOR ); works correctly

kv-be commented 5 months ago

solved