kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

package instance without arguments in a generic map doesn't work correctly #23

Closed kv-be closed 5 months ago

kv-be commented 5 months ago

entity tdi_line_getter_pixel_out_vnir is generic ( --autoformat_off package G_TDI_PKG is new work.tdi_pkg generic map (<>) --autoformat_on ); port ( CLK : in std_logic; RST : in std_logic; FIFO_DATA : in std_logic_vector(511 downto 0); FIFO_EMPTY : in std_logic; FIFO_RE : out std_logic; PIXELS_OUT : out t_slv_16b_array(31 downto 0); PIXELS_READY : out std_logic ); end tdi_line_getter_pixel_out_vnir;

kv-be commented 5 months ago

solved