kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

align attributes #34

Closed kv-be closed 4 months ago

kv-be commented 4 months ago

attribute mark_debug of dmarx_bc_pcie_i : signal is "true"; attribute mark_debug of dmadfdsfdsrx_bc_pcie_i : signal is "true"; attribute mark_debug of dmarx_bgfgfc_pcdfdsie_i : signal is "true";

kv-be commented 4 months ago

solved