kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

multiline processes #38

Closed kv-be closed 4 months ago

kv-be commented 4 months ago

proc_a : process (rd_en, wr_en, data_in, data_out, rd_full, wr_full )

kv-be commented 4 months ago

solved