kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

multiline process with local constants/variables #48

Closed kv-be closed 3 months ago

kv-be commented 3 months ago

P_checker : process (CLK, dksfjl) variable v_t_exp_calib : unsigned(47 downto 0); variable v_t_exp_stop : unsigned(47 downto 0); variable v_t_exp_exp : unsigned(47 downto 0); variable v_int_delay : unsigned(47 downto 0); begin end process;

P_checker : process (CLK) variable v_t_exp_calib : unsigned(47 downto 0); variable v_t_exp_stop : unsigned(47 downto 0); variable v_t_exp_exp : unsigned(47 downto 0); variable v_int_delay : unsigned(47 downto 0); begin end process;

kv-be commented 3 months ago

P_checker : process ( CLK) variable v_t_exp_calib : unsigned(47 downto 0); variable v_t_exp_stop : unsigned(47 downto 0); variable v_t_exp_exp : unsigned(47 downto 0); variable v_int_delay : unsigned(47 downto 0); begin fkdsf <= fjkds; end process;

P_checker : process (CLK, rst ) variable v_t_exp_calib : unsigned(47 downto 0); variable v_t_exp_stop : unsigned(47 downto 0); variable v_t_exp_exp : unsigned(47 downto 0); variable v_int_delay : unsigned(47 downto 0); begin fkdsf <= fjkds; end process;

kv-be commented 3 months ago

solved