kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

port which contains PROCESS triggers an indent #49

Closed kv-be closed 3 months ago

kv-be commented 3 months ago

entity cmv12k_cfg_mgt is port ( PROCESSING_RUNNING : out std_logic;
IMAGE_PROC_CFG : out std_logic_vector(31 downto 0);
NO_SEQUENCES : in std_logic_vector(15 downto 0);
end cmv12k_cfg_mgt;

kv-be commented 3 months ago

solved