Closed kv-be closed 3 months ago
entity cmv12k_cfg_mgt is port ( PROCESSING_RUNNING : out std_logic; IMAGE_PROC_CFG : out std_logic_vector(31 downto 0); NO_SEQUENCES : in std_logic_vector(15 downto 0); end cmv12k_cfg_mgt;
solved
entity cmv12k_cfg_mgt is port ( PROCESSING_RUNNING : out std_logic;
IMAGE_PROC_CFG : out std_logic_vector(31 downto 0);
NO_SEQUENCES : in std_logic_vector(15 downto 0);
end cmv12k_cfg_mgt;