kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

block comments indent each time #52

Open kv-be opened 3 months ago

kv-be commented 3 months ago

/ InfoMessage("TESTCASE 1 finished successfully"); HeaderMessage("Starting Testcase 2: 3 series of 12 configs"); /