kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

variable after procedure declaration is aligned #53

Closed kv-be closed 3 months ago

kv-be commented 3 months ago

procedure check_status(constant no_bytes_exp : std_logic := '0'; -- sdf constant data_checker_exp : std_logic := '0'; constant fsm_error_exp : std_logic := '0'; constant state_error_exp : integer := 0; constant axi_timeout_error_exp : std_logic := '0'; constant select_checker : std_logic_vector(4 downto 0) := "11111"); variable test : integer := 5;

kv-be commented 3 months ago

solved