kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

empty lines in default statements breaks the alignment #55

Open kv-be opened 3 months ago

kv-be commented 3 months ago

constant C_ETHERNET_FRAME_DEFAULT : t_ethernet_frame := ( mac_destination => (others => '0'),

mac_source => (others => '0'), --conment payload_length => 0, payload => (others => (others => '0')), fcs => (others => '0'));