kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

signals ending in IS are renamed! #56

Open kv-be opened 3 months ago

kv-be commented 3 months ago
  LOAD_SWITCH_DIS(0) <= not power_switch_en_vect(3);
  LOAD_SWITCH_DIS(1) <= not power_switch_en_vect(4);
  LOAD_SWITCH_DIS(2) <= not power_switch_en_vect(5);