kv-be / pretty-vhdl

MIT License
0 stars 0 forks source link

when others bad #8

Closed kv-be closed 6 months ago

kv-be commented 6 months ago

when C_ONE => drie <= 4; is not handled correctly

kv-be commented 6 months ago

corrected now