laforest / FPGADesignElements

A self-contained online book containing a library of FPGA design modules and related coding/design guides.
MIT License
387 stars 40 forks source link

Update Constant.html #1

Closed tudortimi closed 4 years ago

tudortimi commented 4 years ago

Fix typo

laforest commented 4 years ago

Hi tudortimi. Thanks for the fix. However, the HTML pages are generated from the Verilog files. I don't want to throw out your PR, so could you instead edit Constant.v, then run it through v2h.py, then resubmit both files? (I'm new to this....)

rajesh-s commented 4 years ago

@laforest Is there any specific reason you chose the HTML page based approach? In my opinion, gitbooks would've been an easier (both maintenance and access wise) approach. It would also let you refer to this on a mobile device like an iPad. I'd be happy to help convert these to Markdown and now would be the time to consider it since it's in the early stages.

laforest commented 4 years ago

@rajesh-s Thanks for the offer, but the existing setup has the HTML files generated from the Verilog source, using Markdown in the comments, using the included v2h.py tool, so that the source and web pages are never stale. The HTML uses a simple CSS that should display identically on any device. If you find the pages don't display correctly on mobile, please let me know. Also, it's intentional that everything is in a single directory so the git repo acts both as a local web doc, and as an IP library for CAD tools.

laforest commented 4 years ago

@rajesh-s Ah, I see I misunderstood your question. The git repo is itself a website, and I host it at http://fpgacpu.ca/fpga/

rajesh-s commented 4 years ago

@laforest thanks! Your second comment was in part what I was looking for. The only other advantage of something like Markdown would be the ability to edit stuff on GitHub itself or in a text editor in a WYSIWYG manner that would probably make it easier for people to contribute individual modules to this project.

Thank you for your time!

Also, please add the URL under settings>project url of the repo

tudortimi commented 4 years ago

Sorry, I totally forgot about this.

tudortimi commented 4 years ago

As a side note, if generating the HTML is very fast, you're better off just checking in the Verilog files and the script, and just generating the HTML whenever you need it (e.g. to deploy to your site).

laforest commented 4 years ago

No worries. Thanks for pointing the typo out.

I could, but then it wouldn't be "Ready to use" by anyone checking it out. I don't want to assume they know/have Python and Markdown installed. Plus, the repo itself is how I deploy to my site, so I have to regenerate.

tudortimi commented 4 years ago

As another hint, it's possible to embed a Python virtualenv in the repository. I have a similar setup for my blog and I want to have my code and my tooling in there ready to go on any machine.