lekez2005 / opennvram-reram

Other
4 stars 0 forks source link

request for timing simulation #7

Open Samarthjainabout opened 1 year ago

Samarthjainabout commented 1 year ago

Hi, was this chip tested on real tapeout using sky 130? if so where can I find the timing analysis of the module?

lekez2005 commented 1 year ago

The tape-out is still out for fabrication. Hopefully, it eventually gets fabricated at some point...

If you have access to the Spectre simulator and you want to experiment, https://github.com/lekez2005/opennvram-reram/blob/main/compiler/tests/reram/21_reram_simulation_test.py is a good start for simulating the schematic-based performance of the generated array. Keep in mind that I don't actually know how well calibrated to experimental data the published ReRAM model is.

Here is what a sample simulation command using that script looks like:

./21_reram_simulation_test.py -t sky130 -v --num_banks=1 --num_cols=16 --word_size=16 --num_words=16 --schematic

In principle, you can do an extracted simulation based on an extraction done by magic if you remove --schematic from that command. I don't know how reliable/accurate magic-based extraction is either.