lnis-uofu / SOFA

SOFA (Skywater Opensource FPGAs) based on Skywater 130nm PDK and OpenFPGA
https://skywater-openfpga.readthedocs.io/en/latest/
MIT License
128 stars 25 forks source link

Add report timing scripts #103

Closed tangxifan closed 3 years ago

tangxifan commented 3 years ago
tangxifan commented 3 years ago

@apond308 I am updating the timing for SOFA HD, QLSOFA HD and SOFA CHD. Once it is done, can you rerun the benchmarking for VexRiscV?