lushaylabs / tangnano9k-series-examples

Examples for the Lushay Labs tang nano 9k series
https://learn.lushaylabs.com/tang-nano-series/
84 stars 19 forks source link

Bad CRC error #12

Open stacksmith opened 3 months ago

stacksmith commented 3 months ago

Pre-built .fs bitstreams load without any problems, but if I build it, the bitstream cannot load:

openFPGALoader -b tangnano9k counter.fs -f
empty
write to flash
Jtag frequency : requested 6.00MHz   -> real 6.00MHz  
Parse file Parse counter.fs: 
Done
DONE
Jtag frequency : requested 2.50MHz   -> real 2.00MHz  
Erase SRAM DONE
Erase FLASH DONE
Erasing FLASH: [==================================================] 100.00%
Done
write Flash: [==================================================] 100.00%
Done
CRC check : FAIL
Read: 0x0000431b checksum: 0xb4bb