manucorporat / linter-verilog

Atom linter for Verilog, using icarus verilog.
https://atom.io/packages/linter-verilog
MIT License
9 stars 7 forks source link

Add support for Verilator as default linter #4

Open tarikgraba opened 7 years ago

tarikgraba commented 7 years ago

Hi there and thanks for your package. I have added support for verilator as an additional compiler and added an option to choose between the the two. I have set verilator as the default compiler, because I think that the returned info are better and it supports modern dialects of Verilog and SystemVerilog.

I'm new to coffescript so my code may not be as elegant as it should be.

Tarik

tarikgraba commented 7 years ago

Hi @manucorporat, Any chance the pull request is reviewed? Tarik

samueltardieu commented 7 years ago

Hi.

Is there a problem with this pull request? I've been using it for weeks and it works just fine.

tarikgraba commented 7 years ago

@manucorporat review? merge?

tarikgraba commented 7 years ago

@manucorporat No news good news

pistoletpierre commented 6 years ago

I can also vouch for this. Just tried it; it's nice having SystemVerilog linting... Thanks @tarikgraba

tarikgraba commented 6 years ago

There is an other atom plugin that uses exclusively Verilator. https://atom.io/packages/linter-verilator

It seems that @manucorporat does not maintain this plugin anymore

pistoletpierre commented 6 years ago

Thanks for the heads up