manucorporat / linter-verilog

Atom linter for Verilog, using icarus verilog.
https://atom.io/packages/linter-verilog
MIT License
9 stars 7 forks source link

Linter not noticing changes #6

Open JmzQ opened 7 years ago

JmzQ commented 7 years ago

Hi, I've installed the verilog linter using the package market directly from atom and had iverilog installed directly from the website. I'm not seeing any warnings/errors pop up when I edit my .vl file. Does this package rely on anything else besides the base linter?

TianzeWang commented 6 years ago

+1

a2k-hanlon commented 3 years ago

Hi @JmzQ,

I know this is a really late reply, but I think your issue may be that .vl is not a recognized file extension for Verilog. This package uses the "source.verilog" grammar scope, which is probably defined through language-verilog. Since this file type is not recognized by Atom as being Verilog, it is not triggering the linter.

Edit: sorry, my mistake, that's not it. You can just change the grammar scope of the current file through the language option at the bottom of the Atom editor window.

I would instead check that the linter can FIND iverilog. All the linter does is try to execute a command such as iverilog -t null <file> or something like that. Try running just iverilog in a terminal (PowerShell or Command Prompt on Windows). If you see 'iverilog' is not recognized or something of that sort, the linter won't be able to run iverilog. In this case, make sure that iverilog is available in the PATH environment variable. On Windows, you may need to add something like C:\iverilog\bin\ to the PATH. If you're not sure how to set the PATH in windows, you can follow this.