mbits-mirafra / axi4_avip

MIT License
22 stars 23 forks source link

How to Run Regression and where we can see coverage report #108

Closed mahadevaswamy05 closed 6 months ago

mahadevaswamy05 commented 6 months ago

Here explain how to run regression inside the axi4_avip project and see how to check the coverage report.

mahadevaswamy05 commented 6 months ago

Inside this AXI4_AVIP project go inside the sim directory inside the questa_sim directory,

axi4_avip/sim/questasim/

Run command:

make regression testlist_name=axi4_transfers_regression.list

This axi4_transfers_regression.list file is present inside the axi4_avip/src/hvl_top/testlists/ and inside this file all the test case names are present.

axi4_transfers_regression.list

axi4_write_read_test
axi4_blocking_8b_write_read_test 
axi4_blocking_16b_write_read_test 
axi4_blocking_32b_write_read_test 
axi4_blocking_incr_burst_write_read_test 
axi4_blocking_wrap_burst_write_read_test 
axi4_blocking_slave_error_write_read_test 
axi4_blocking_unaligned_addr_write_read_test 
axi4_blocking_fixed_burst_write_read_test 

axi4_blocking_write_read_rand_test 

axi4_blocking_cross_write_read_test 

axi4_non_blocking_8b_write_read_test 
axi4_non_blocking_16b_write_read_test 
axi4_non_blocking_32b_write_read_test 
axi4_non_blocking_incr_burst_write_read_test 
axi4_non_blocking_wrap_burst_write_read_test 
axi4_non_blocking_okay_response_write_read_test 
axi4_non_blocking_fixed_burst_write_read_test 
axi4_non_blocking_outstanding_transfer_write_read_test 
axi4_non_blocking_slave_error_write_read_test 
axi4_non_blocking_unaligned_addr_write_read_test 

axi4_non_blocking_write_read_rand_test 

axi4_non_blocking_cross_write_read_test 

axi4_blocking_16b_data_read_test
axi4_blocking_32b_data_read_test
axi4_blocking_64b_data_read_test
axi4_blocking_8b_data_read_test
axi4_blocking_exokay_response_read_test
axi4_blocking_incr_burst_read_test
axi4_blocking_okay_response_read_test
axi4_blocking_wrap_burst_read_test
axi4_non_blocking_16b_data_read_test 
axi4_non_blocking_32b_data_read_test 
axi4_non_blocking_64b_data_read_test 
axi4_non_blocking_8b_data_read_test 
axi4_non_blocking_exokay_response_read_test 
axi4_non_blocking_incr_burst_read_test 
axi4_non_blocking_okay_response_read_test 
axi4_non_blocking_wrap_burst_read_test 

axi4_non_blocking_wrap_burst_write_test
axi4_non_blocking_incr_burst_write_test
axi4_non_blocking_okay_write_response_test
axi4_non_blocking_exokay_write_response_test
#axi4_non_blocking_64b_write_data_test
axi4_non_blocking_32b_write_data_test
axi4_non_blocking_16b_write_data_test
axi4_non_blocking_8b_write_data_test
axi4_blocking_wrap_burst_write_test
axi4_blocking_incr_burst_write_test
axi4_blocking_okay_response_write_test
axi4_blocking_exokay_response_write_test
#axi4_blocking_64b_write_data_test
axi4_blocking_32b_write_data_test
axi4_blocking_16b_write_data_test
axi4_blocking_8b_write_data_test

axi4_non_blocking_slave_mem_mode_wrap_burst_write_read_test
axi4_non_blocking_slave_mem_mode_fixed_burst_write_read_test
axi4_non_blocking_slave_mem_mode_incr_burst_write_read_test

axi4_non_blocking_only_write_response_out_of_order_test
axi4_non_blocking_only_read_response_out_of_order_test
axi4_non_blocking_write_read_response_out_of_order_test

axi4_non_blocking_qos_write_read_test
mahadevaswamy05 commented 6 months ago

When you run regression then It will generate the coverage report, image

Copy this above generated report line and run it will open the coverage report in Firefox, firefox merged_cov_html_report/index.html & image

image