mchwang233 / wmchappy_cn

评论库
0 stars 0 forks source link

一个简单的sv验证框架 | Gavin的碎碎念 #26

Open mchwang233 opened 3 years ago

mchwang233 commented 3 years ago

https://wmchappy.cn/2020/10/21/sv-test/

最近一直在做一些小模块的验证,之前都是在用现成的环境修修改改。写一个纯sv的环境; 首先是harness; 1234567891011121314151617181920212223module harnessbit clk;bit rst_n;vitsual w_inf inf(bit clk,bit rst_n);tc test();dut dut( clk (inf.clk),

shampioner commented 3 years ago

描述清楚,一目了然,浅显易懂,明明白白

shampioner commented 3 years ago

膜拜大佬!!!

mchwang233 commented 3 years ago

@shampioner 描述清楚,一目了然,浅显易懂,明明白白

printf("品哥威武!!!");
mchwang233 commented 3 years ago

@shampioner 膜拜大佬!!!

#include "stdio.h"
int main{
     printf("品哥厉害了");
}
shampioner commented 3 years ago

https://my.oschina.net/u/4081083/blog/4666671 弟弟好好看看,跟着哥好好学

mchwang233 commented 3 years ago

@shampioner https://my.oschina.net/u/4081083/blog/4666671 弟弟好好看看,跟着哥好好学

品哥六批
mchwang233 commented 3 years ago

vcs生成dve波形 https://blog.csdn.net/didazhang/article/details/88076201

shampioner commented 3 years ago

@wmchappy vcs生成dve波形 https://blog.csdn.net/didazhang/article/details/88076201