meton-robean / PaperNotes

记录阅读各类paper的想法笔记(关注体系结构,机器学习系统,深度学习,计算机视觉)
23 stars 1 forks source link

GANAX: A UnifiedMIMD-SIMD Acceleration for Generative Adversarial Networks #13

Open meton-robean opened 4 years ago

meton-robean commented 4 years ago

GANAX: A UnifiedMIMD-SIMD Acceleration for Generative Adversarial Networks

meton-robean commented 4 years ago

对于加速器设计来说,个人感觉比较重要的一点是PE的设计

image 作者将取数和计算解耦和,取数模块根据指令不停地计算访存地址,并将数据放入FIFO之中等待计算模块消费。当FIFO满了,取数模块进入等待状态。计算模块则不停从FIFO中取数,FIFO为空时也进入等待状态。这样的好处是,不需要在指令中指定操作数的地址,因为访存都具有一定的模式