microsoft / vscode

Visual Studio Code
https://code.visualstudio.com
MIT License
162.46k stars 28.63k forks source link

request for verilog HDL/System verilog language support #78332

Closed yueluojiangqiu closed 5 years ago

yueluojiangqiu commented 5 years ago

Due to the support for verilog language of current version is poor. Please add the official support for verilog HDL and Systemverilog language.

vscodebot[bot] commented 5 years ago

We try to keep VS Code lean and we think the functionality you're asking for is great for a VS Code extension. Maybe you can already find one that suits you in the VS Code Marketplace. Just in case, in a few simple steps you can get started writing your own extension. See also our issue reporting guidelines.

Happy Coding!