modelica / Reference-FMUs

Functional Mock-up Units for development, testing and debugging
Other
145 stars 60 forks source link

fmi3_import_collect_model_counts crashes on "Feedthrough.fmu" #408

Closed nilotpalutkalit closed 11 months ago

nilotpalutkalit commented 11 months ago

Below is the log that gets generated: [15/Oct/23|13:19:50]FMILIB:VERBOSE:Allocating FMIL context [15/Oct/23|13:19:50]FMIXML:DEBUG:Allocating context for XML parsing module [15/Oct/23|13:19:50]FMIXML:DEBUG:Returning allocated context [15/Oct/23|13:19:50]FMILIB:VERBOSE:Detecting FMI standard version [15/Oct/23|13:19:50]FMIZIP:VERBOSE:Unpacking FMU into C:\Users\nutkalit\AppData\Local\Temp\vsfmua34064 [15/Oct/23|13:19:50]FMIXML:VERBOSE:Parsing XML to detect FMI standard version [15/Oct/23|13:19:50]FMIXML:VERBOSE:XML specifies FMI 3.0 [15/Oct/23|13:19:50]FMILIB:INFO:XML specifies FMI standard version 3.0 [15/Oct/23|13:19:50]FMILIB:VERBOSE:Parsing model description XML [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element fmiModelDescription [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element ModelExchange [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element CoSimulation [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element TypeDefinitions [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element LogCategories [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element ModelVariables [15/Oct/23|13:19:50]FMI3XML:INFO:[Line:87] Detected during parsing: [15/Oct/23|13:19:50]FMI3XML:ERROR:Variable Binary_input: start value required for input variables [15/Oct/23|13:19:50]FMI3XML:VERBOSE:Parsing XML element ModelStructure [15/Oct/23|13:19:50]FMILIB:VERBOSE:Parsing finished successfully

Below is my test code: fmi3_import_model_counts_t modelCount; fmi3_import_collect_model_counts(fmu, &modelCount);

t-sommer commented 11 months ago

Can you provide steps reproduce the problem?

nilotpalutkalit commented 11 months ago

I am using the following library: https://github.com/modelon-community/fmi-library/releases/tag/3.0a2 -> I first create fmuContext by calling fmi_import_allocate_context(&(m_jmCallbacks)) -> then I call fmi3_import_parse_xml to get fmi3_import_t *fmu -> then call: fmi3_import_model_counts_t modelCount; fmi3_import_collect_model_counts(fmu, &modelCount);

as soon as the call to fmi3_import_collect_model_counts(fmu, &modelCount); is made I can observe the silent crash.

t-sommer commented 11 months ago

This seems to be a problem with the importing library / tool. Please reopen if you find a concrete problem in the Reference FMUs.