nayuta-ai / nand2tetris

0 stars 0 forks source link

Add: Chapter 1 #1

Closed nayuta-ai closed 1 year ago

nayuta-ai commented 1 year ago

Implementation Summary

I implemented chapter 1 of the nand2tetris project. I implemented the logic circuit such as AND, OR, NAND, etc.

Scope of Impact

projects/01

Particular points to check

Please compare with your own code.

Test

None

Schedule

7/8