nickg / nvc

VHDL compiler and simulator
https://www.nickg.me.uk/nvc/
GNU General Public License v3.0
631 stars 77 forks source link

fatal: invalid type kind T_ARRAY in range_of #842

Closed avelure closed 8 months ago

avelure commented 8 months ago

This could be the same as #830, but it is a bit of a weird way to concatenate, so maybe not. I was not intentionally doing the concatenation like this, I had forgotten the end paranthesis after the to_string. This was originally for a UVVM log call and C_SCOPE was supposed to be a parameter.

library ieee;
use ieee.std_logic_1164.all;
use ieee.numeric_std.all;

entity test is
end entity;

architecture beh of test is
  constant C_SCOPE : string := "something";
begin

  p_proc : process
    constant C_TIME : time := 1 ms;
  begin
    report string'("Test " & to_string(C_TIME, ms), C_SCOPE);
    wait;
  end process;
end architecture;