Closed leksiso closed 1 month ago
The crash actually happens when it's trying to print the iteration limit message. Now it reports:
** Fatal: 0ms+10000: limit of 10000 delta cycles reached
> /home/nick/nvc/test/regress/issue885.vhd:46
|
12 | signal c : std_logic;
| ^ driver for signal C is active
...
28 | b : out std_logic_vector(1 downto 0) := "00"
| ^ driver for port B is active
...
46 | signal b : std_logic_vector(1 downto 0);
| ^ driver for signal B is active
|
= Note: you can increase this limit with --stop-delta
Hello again,
I ran into a segfault when I accidentally created a zero-time loop. The iteration limit check doesn't fire here for some reason.
Here's the reduced code demonstrating the problem: