nickg / nvc

VHDL compiler and simulator
https://www.nickg.me.uk/nvc/
GNU General Public License v3.0
589 stars 75 forks source link

Fatal error when running simulation with PSL and FST export #904

Closed tmeissner closed 1 day ago

tmeissner commented 3 days ago

I have a design which uses some PSL for functional coverage. I get an error when I try to run the simulation with FST-waveform export enabled.

$ nvc --std=19 -L ./ -H 64m -r axififot --wave=axififot.fst --ieee-warnings=off
** Fatal: cannot handle tree kind T_PSL in fst_walk_design
[0x55f099f55684] ../src/diag.c:1018 diag_femit
[0x55f099f55684] ../src/diag.c:1043 diag_emit
[0x55f099e9b27f] ../src/util.c:585 fatal_trace
[0x55f099f67c75] ../src/rt/wave.c:874 fst_walk_design.lto_priv.0
[0x55f099f679f4] ../src/rt/wave.c:869 fst_walk_design.lto_priv.0
[0x55f099f679f4] ../src/rt/wave.c:869 fst_walk_design.lto_priv.0
[0x55f099f679f4] ../src/rt/wave.c:869 fst_walk_design.lto_priv.0
[0x55f099f679f4] ../src/rt/wave.c:869 fst_walk_design.lto_priv.0
[0x55f099e99062] ../src/rt/wave.c:895 wave_dumper_restart
[0x55f099e99062] ../src/rt/wave.c:840 run_cmd
[0x55f099e93aab] ../src/nvc.c:1924 process_command
[0x55f099e8f81e] ../src/nvc.c:2060 main

Please report this bug at https://github.com/nickg/nvc/issues
$ nvc --version
nvc 1.13-devel (1.12.0.r89.g34e3ede2) (Using LLVM 14.0.6)
Copyright (C) 2011-2024  Nick Gasson

If I disable FST-export the simulation runs without this error. Note, that the error also occurs when I disable functional coverage in the elaboration step.

Maybe the error message helps, as I cannot give an MWE at the moment. The design is confidential and complex.