nvdla / vp

Virtual Platform for NVDLA
Other
135 stars 81 forks source link

Issues at installing nvdla/vp #44

Open nicolast0604 opened 5 years ago

nicolast0604 commented 5 years ago

/home/nicolast0604/vp/hw/outdir/nv_full/cmod/release/lib/libnvdla_cmod.so: undefined reference to `sc_core::sc_vector_base::make_name(char const*, unsigned long)' collect2: error: ld returned 1 exit status CMakeFiles/aarch64_toplevel.dir/build.make:106: recipe for target 'aarch64_toplevel' failed make[2]: [aarch64_toplevel] Error 1 CMakeFiles/Makefile2:111: recipe for target 'CMakeFiles/aarch64_toplevel.dir/all' failed make[1]: [CMakeFiles/aarch64_toplevel.dir/all] Error 2 Makefile:149: recipe for target 'all' failed make: *** [all] Error 2

wang18112020007 commented 5 years ago

I have the same error.

fisherxue commented 5 years ago

Are you installing on ubuntu 14.04 / gcc 4.8.4?

wang18112020007 commented 5 years ago

I fixed the error when I re_installed systemc_2.3.0.

hariharan765 commented 4 years ago

I tried reinstalling systemc2.3.0. I still face this issue.

I ran the following commands:

cd /vp export CC=/bin/gcc export CXX=/bin/g++ cmake -DCMAKE_INSTALL_PREFIX=build -DSYSTEMC_PREFIX=/usr/local/systemc230/ -DNVDLA_HW_PREFIX=/hw/ -DNVDLA_HW_PROJECT=nv_full make

And I see the following logs:

[ 86%] Linking CXX executable aarch64_toplevel /home/hariharans/localwork/nvdla/hw/outdir/nv_full/cmod/release/lib/libnvdla_cmod.so: undefined reference to `sc_core::sc_vector_base::make_name(char const*, unsigned long)'* collect2: error: ld returned 1 exit status CMakeFiles/aarch64_toplevel.dir/build.make:106: recipe for target 'aarch64_toplevel' failed make[2]: [aarch64_toplevel] Error 1 make[2]: Leaving directory '/home/hariharans/localwork/nvdla/vp' CMakeFiles/Makefile2:74: recipe for target 'CMakeFiles/aarch64_toplevel.dir/all' failed make[1]: [CMakeFiles/aarch64_toplevel.dir/all] Error 2 make[1]: Leaving directory '/home/hariharans/localwork/nvdla/vp' Makefile:151: recipe for target 'all' failed make: [all] Error 2

Attached verbose logs (VERBOSE=1) as well. I can see that libsystemc.so is being included in linker path.

vp_make_fail_logs_verbose.txt hariharans@hariharans:~$ strings /usr/local/systemc230/lib-linux64/libsystemc.so | grep make_name _ZN7sc_core14sc_vector_base9make_nameB5cxx11EPKcm _ZN7sc_core14sc_vector_base9make_nameB5cxx11EPKcm

Owen199x commented 2 years ago

please use the g++ 4.8.5 and gcc 4.85, please recompile the systemc again, please recompile the hw again, then you can get the result for pass.