oddball / ipxact2systemverilog

Translates IPXACT XML to synthesizable VHDL or SystemVerilog
GNU General Public License v2.0
56 stars 19 forks source link

fix the reversed register field descriptions #26

Closed vermaete closed 3 years ago

vermaete commented 3 years ago

Andreas,

The main issue solved in this merge request is one I've introduced.

The description of a register field was placed with the wrong field in the generated code. So the description of field 0 was the description of n-1.

And the cleanup of the white spaces at some generated lines.

And in the VHDL code there where lines where there was a space before the '(' and lines without. e.g. std_logic_vector(...) and std_logic_vector (...) I'm more used to see VHDL without a space. If you prefer with a space, I can change it.

Br