oddball / ipxact2systemverilog

Translates IPXACT XML to synthesizable VHDL or SystemVerilog
GNU General Public License v2.0
57 stars 19 forks source link

fix for the 'PublicConvFunct' config option #39

Closed vermaete closed 1 year ago

vermaete commented 1 year ago

And some cleanup.

oddball commented 1 year ago

@vermaete does this warrant a new release to pypi?

vermaete commented 1 year ago

@oddball would be nice. If possible together with the other pull request that is in the queue.

I still have a pull request in the pipe. But it's not well tested in my projects.

oddball commented 1 year ago

@vermaete will do. And please assign the PR to me if you want me to take action