oddball / ipxact2systemverilog

Translates IPXACT XML to synthesizable VHDL or SystemVerilog
GNU General Public License v2.0
57 stars 19 forks source link

Fix when having no or empty description for register fields #41

Closed vermaete closed 1 year ago

vermaete commented 1 year ago

@oddball

There was an issue when a register field description was empty or was missing. I have added a testcase for it. It was only an issue when creating the MarkDown documentation. And I removed some white spaces at the end of the generated lines.

Could we make a release with this?

Br