olofk / corescore

CoreScore
Apache License 2.0
134 stars 39 forks source link

Where are the dependencies? #1

Open nalzok opened 4 years ago

nalzok commented 4 years ago

Since this repository utilizes FuseSoC, how to find a submodule is less obvious compared to those using Makefile. For example, corescore/rtl/corescore_tinyfpga_bx.v initializes the module axis_async_fifo, but searching the name in the repo didn't result in anything.

I have noticed that the tinyfpga_bx target depends on two additional packages: usbserial and fusesoc:utils:generators, but the former doesn't contain axis_async_fifo either, and I have no idea how to find the latter.

Please advice. Thanks!

imuguruza commented 4 years ago

Not sure, but may be those are fetched by fusesoc.

For instance, if I type fusesoc list-core, fusesoc:utils:generators appears listed