olofk / serv

SERV - The SErial RISC-V CPU
ISC License
1.36k stars 178 forks source link

problem w/ building reference ? #101

Closed BradWalker closed 1 year ago

BradWalker commented 1 year ago

I'm following the directions precisely. But, I keep getting the same error..


[bwalker@fedora foo]$ export WORKSPACE=$(pwd)
[bwalker@fedora foo]$ fusesoc library add serv https://github.com/olofk/serv
INFO: Cloning library into fusesoc_libraries/serv
Cloning into 'fusesoc_libraries/serv'...
remote: Enumerating objects: 2672, done.
remote: Counting objects: 100% (709/709), done.
remote: Compressing objects: 100% (221/221), done.
remote: Total 2672 (delta 574), reused 488 (delta 488), pack-reused 1963
Receiving objects: 100% (2672/2672), 12.56 MiB | 10.26 MiB/s, done.
Resolving deltas: 100% (1706/1706), done.
[bwalker@fedora foo]$ ls
build  fusesoc.conf  fusesoc_libraries
[bwalker@fedora foo]$ rm -rf build/
[bwalker@fedora foo]$ fusesoc run --target=lint serv
INFO: Preparing ::serv:1.2.1
INFO: Setting up project
INFO: Building simulation model
INFO: Running
[bwalker@fedora foo]$ fusesoc run --target=verilator_tb servant --uart_baudrate=57600 --firmware=$SERV/sw/zephyr_hello.hex
INFO: Preparing ::serv:1.2.1
INFO: Preparing ::servant:1.2.1
verilator -f servant_1.2.1.vc
make -f Vservant_sim.mk
make[1]: Entering directory '/tmp/foo/build/servant_1.2.1/verilator_tb'
g++  -I.  -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow      -std=gnu++14 -Os -c -o servant_tb.o src/servant_1.2.1/bench/servant_tb.cpp
g++  -I.  -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow      -std=gnu++14 -Os -c -o verilated.o /usr/share/verilator/include/verilated.cpp
g++  -I.  -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow      -std=gnu++14 -Os -c -o verilated_dpi.o /usr/share/verilator/include/verilated_dpi.cpp
g++  -I.  -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow      -std=gnu++14 -Os -c -o verilated_vcd_c.o /usr/share/verilator/include/verilated_vcd_c.cpp
/usr/bin/perl /usr/share/verilator/bin/verilator_includer -DVL_INCLUDE_OPT=include Vservant_sim.cpp Vservant_sim___024root__DepSet_h03917109__0.cpp Vservant_sim___024root__DepSet_h3f60db9f__0.cpp Vservant_sim_servant__pi1__DepSet_hd3eadecc__0.cpp Vservant_sim_servant__pi1__DepSet_heef88ae2__0.cpp Vservant_sim_servant_ram__pi2__DepSet_h9e6e3df8__0.cpp Vservant_sim__Dpi.cpp Vservant_sim__Trace__0.cpp Vservant_sim___024root__Slow.cpp Vservant_sim___024root__DepSet_h03917109__0__Slow.cpp Vservant_sim___024root__DepSet_h3f60db9f__0__Slow.cpp Vservant_sim_servant_sim__Slow.cpp Vservant_sim_servant_sim__DepSet_h698ba515__0__Slow.cpp Vservant_sim_servant_sim__DepSet_h95578f8b__0__Slow.cpp Vservant_sim_servant__pi1__Slow.cpp Vservant_sim_servant__pi1__DepSet_hd3eadecc__0__Slow.cpp Vservant_sim_servant__pi1__DepSet_heef88ae2__0__Slow.cpp Vservant_sim_servant_ram__pi2__Slow.cpp Vservant_sim_servant_ram__pi2__DepSet_ha27c27ae__0__Slow.cpp Vservant_sim__Syms.cpp Vservant_sim__Trace__0__Slow.cpp > Vservant_sim__ALL.cpp
g++  -I.  -MMD -I/usr/share/verilator/include -I/usr/share/verilator/include/vltstd -DVM_COVERAGE=0 -DVM_SC=0 -DVM_TRACE=1 -DVM_TRACE_FST=0 -DVM_TRACE_VCD=1 -faligned-new -fcf-protection=none -Wno-bool-operation -Wno-sign-compare -Wno-uninitialized -Wno-unused-but-set-variable -Wno-unused-parameter -Wno-unused-variable -Wno-shadow      -std=gnu++14 -Os -c -o Vservant_sim__ALL.o Vservant_sim__ALL.cpp
echo "" > Vservant_sim__ALL.verilator_deplist.tmp
Archive ar -rcs Vservant_sim__ALL.a Vservant_sim__ALL.o
g++    servant_tb.o verilated.o verilated_dpi.o verilated_vcd_c.o Vservant_sim__ALL.a      -o Vservant_sim
rm Vservant_sim__ALL.verilator_deplist.tmp
make[1]: Leaving directory '/tmp/foo/build/servant_1.2.1/verilator_tb'
Loading RAM from /sw/zephyr_hello.hex
%Warning: /sw/zephyr_hello.hex:0: $readmem file not found
^C
Caught ctrl-c

INFO: ****************************
INFO: ****   FuseSoC aborted  ****
INFO: ****************************

[bwalker@fedora foo]$ 

I never seem to get the "Hello World! service" output..

BradWalker commented 1 year ago

Sorry.. My mistake.. Late at night here.