openXC7 / demo-projects

Demo projects for various Kintex FPGA boards
BSD 3-Clause "New" or "Revised" License
41 stars 15 forks source link

Add blinky-digilent-zybo #7

Closed RCoeurjoly closed 6 months ago

RCoeurjoly commented 6 months ago

Tested with toolbox-nix on Zybo board.

hansfbaier commented 6 months ago

That's merged. Thanks!