openXC7 / demo-projects

Demo projects for various Kintex FPGA boards
BSD 3-Clause "New" or "Revised" License
41 stars 15 forks source link

Add Basys 3 blinky demo project #9

Closed jakergrossman closed 3 months ago

hansfbaier commented 3 months ago

Thanks!