parallaxsw / OpenSTA

GNU General Public License v3.0
36 stars 18 forks source link

`report_checks -report_sigmas` not documented #96

Open robertviramontes opened 1 week ago

robertviramontes commented 1 week ago

I'm using OpenSTA with POCV enabled. I noticed that there's an additional flag for the report_checks command -report_sigmas that prints the delay mean, early and late sigmas to timing report (in search/Search.tcl and search/Search.i). I don't see this mentioned in the latest OpenSTA manual (doc/OpenSTA.pdf), would be good to include this if not an experimental feature.

QuantamHD commented 1 week ago

Seems like a great feature, but development resources are pretty stretched at the moment. Any chance you could try to add it?

jjcherry56 commented 1 week ago

POCV support was implemented for a commercial user but I still consider it to be experimental, which is one of the reasons the option is not documented. I would rather have -field options instead of -report_sigmas but never get around to unifying the reporting options.

Where did you find libraries that have POCV timing in them?

robertviramontes commented 6 days ago

Good to know it is still considered experimental. I am working with some commercial timing libraries.

Having it as a -fields option makes sense.