pistoletpierre / language-systemverilog

Atom SystemVerilog language support
MIT License
5 stars 2 forks source link

Left operands and begin/end blocks wrong highlight #10

Open abettati opened 3 years ago

abettati commented 3 years ago

I see multiple issues with the latest release, especially with left operands and begin/end blocks.

Here's some sample code (which correctly compiles). 0.12.4 image

Is there a way to downgrade to the previous release?